@@ -576,6 +576,8 @@ jobs:
576576        run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-gatemate-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
577577      - name : Download linux-x64-nextpnr-bba 
578578        run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-nextpnr-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
579+       - name : Download linux-x64-xilinx-bba 
580+         run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-xilinx-bba.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
579581      - name : Download linux-x64-python3-native 
580582        run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
581583      - name : Build 
@@ -659,6 +661,45 @@ jobs:
659661          tag : bucket-darwin-arm64 
660662          artifacts : " darwin-arm64-prjtrellis.tgz" 
661663          token : ${{ secrets.GITHUB_TOKEN }} 
664+   darwin-arm64-prjxray :
665+     runs-on : ubuntu-latest 
666+     continue-on-error : true 
667+     needs : darwin-arm64-python3 
668+     steps :
669+       - uses : actions/checkout@v4 
670+         with :
671+           repository : ' yosyshq/oss-cad-suite-build' 
672+       - name : Cache sources 
673+         id : cache-sources 
674+         uses : actions/cache@v4 
675+         with :
676+           path : _sources 
677+           key : cache-sources-prjxray 
678+       - name : Download previous build 
679+         run : | 
680+           URL="https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjxray.tgz" 
681+           if wget --spider "${URL}" 2>/dev/null; then 
682+               wget -qO- "${URL}" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
683+           else 
684+               echo "Previous version not found in bucket" 
685+           fi 
686+ name : Download darwin-arm64-python3 
687+         run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-python3.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
688+       - name : Download linux-x64-python3-native 
689+         run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-linux-x64/linux-x64-python3-native.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
690+       - name : Build 
691+         run : ./builder.py build --arch=darwin-arm64 --target=prjxray --single --tar 
692+       - uses : ncipollo/release-action@v1 
693+         if : hashFiles('darwin-arm64-prjxray.tgz') != '' 
694+         with :
695+           allowUpdates : True 
696+           prerelease : True 
697+           omitBody : True 
698+           omitBodyDuringUpdate : True 
699+           omitNameDuringUpdate : True 
700+           tag : bucket-darwin-arm64 
701+           artifacts : " darwin-arm64-prjxray.tgz" 
702+           token : ${{ secrets.GITHUB_TOKEN }} 
662703  darwin-arm64-prjpeppercorn :
663704    runs-on : ubuntu-latest 
664705    continue-on-error : true 
@@ -1674,7 +1715,7 @@ jobs:
16741715          token : ${{ secrets.GITHUB_TOKEN }} 
16751716  darwin-arm64-default :
16761717    runs-on : ubuntu-latest 
1677-     needs : [ darwin-arm64-aiger, darwin-arm64-apicula, darwin-arm64-avy, darwin-arm64-bitwuzla, darwin-arm64-boolector, darwin-arm64-cocotb, darwin-arm64-cvc4, darwin-arm64-cvc5, darwin-arm64-eqy, darwin-arm64-formal, darwin-arm64-ghdl, darwin-arm64-ghdl-yosys-plugin, darwin-arm64-graphviz, darwin-arm64-gtkwave, darwin-arm64-icestorm, darwin-arm64-imctk, darwin-arm64-iverilog, darwin-arm64-nextpnr-ecp5, darwin-arm64-nextpnr-generic, darwin-arm64-nextpnr-himbaechel, darwin-arm64-nextpnr-ice40, darwin-arm64-nextpnr-machxo2, darwin-arm64-nextpnr-nexus, darwin-arm64-openfpgaloader, darwin-arm64-pono, darwin-arm64-prjoxide, darwin-arm64-prjpeppercorn, darwin-arm64-prjtrellis, darwin-arm64-pyhdl, darwin-arm64-python-programmers, darwin-arm64-python3, darwin-arm64-system-resources, darwin-arm64-utils, darwin-arm64-verilator, darwin-arm64-xdot, darwin-arm64-yices, darwin-arm64-yosys, darwin-arm64-yosys-slang-plugin, darwin-arm64-z3 ] 
1718+     needs : [ darwin-arm64-aiger, darwin-arm64-apicula, darwin-arm64-avy, darwin-arm64-bitwuzla, darwin-arm64-boolector, darwin-arm64-cocotb, darwin-arm64-cvc4, darwin-arm64-cvc5, darwin-arm64-eqy, darwin-arm64-formal, darwin-arm64-ghdl, darwin-arm64-ghdl-yosys-plugin, darwin-arm64-graphviz, darwin-arm64-gtkwave, darwin-arm64-icestorm, darwin-arm64-imctk, darwin-arm64-iverilog, darwin-arm64-nextpnr-ecp5, darwin-arm64-nextpnr-generic, darwin-arm64-nextpnr-himbaechel, darwin-arm64-nextpnr-ice40, darwin-arm64-nextpnr-machxo2, darwin-arm64-nextpnr-nexus, darwin-arm64-openfpgaloader, darwin-arm64-pono, darwin-arm64-prjoxide, darwin-arm64-prjpeppercorn, darwin-arm64-prjtrellis, darwin-amd64-prjxray, darwin- arm64-pyhdl, darwin-arm64-python-programmers, darwin-arm64-python3, darwin-arm64-system-resources, darwin-arm64-utils, darwin-arm64-verilator, darwin-arm64-xdot, darwin-arm64-yices, darwin-arm64-yosys, darwin-arm64-yosys-slang-plugin, darwin-arm64-z3 ] 
16781719    steps :
16791720      - name : Get current date 
16801721        id : date 
@@ -1738,6 +1779,8 @@ jobs:
17381779        run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjpeppercorn.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
17391780      - name : Download darwin-arm64-prjtrellis 
17401781        run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjtrellis.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
1782+       - name : Download darwin-arm64-prjxray 
1783+         run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-prjxray.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
17411784      - name : Download darwin-arm64-pyhdl 
17421785        run : wget -qO- "https://github.com/yosyshq/oss-cad-suite-build/releases/download/bucket-darwin-arm64/darwin-arm64-pyhdl.tgz" --retry-connrefused --read-timeout=20 --timeout=15 --retry-on-http-error=404 | tar xvfz - 
17431786      - name : Download darwin-arm64-python-programmers 
0 commit comments