Skip to content

Commit ff13fb2

Browse files
committed
v1.3.0
2 parents 09e61b6 + d9f9e12 commit ff13fb2

File tree

6 files changed

+148
-43
lines changed

6 files changed

+148
-43
lines changed

.github/CODEOWNERS

Lines changed: 5 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,5 @@
1+
# Global repository setting
2+
* @tgingold
3+
4+
# GitHub Action pipeline and pipeline templates
5+
/.github/workflows/ @Paebbels

.github/dependabot.yml

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -10,7 +10,5 @@ updates:
1010
- Dependencies
1111
assignees:
1212
- Paebbels
13-
reviewers:
14-
- Paebbels
1513
schedule:
1614
interval: "daily" # Checks on Monday trough Friday.

.github/workflows/Pipeline.yml

Lines changed: 76 additions & 31 deletions
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
# The MIT License (MIT)
22
#
3-
# Copyright © 2024 The GHDL Developers
3+
# Copyright © 2025 The GHDL Developers
44
#
55
# Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated
66
# documentation files (the “Software”), to deal in the Software without restriction, including without limitation the
@@ -28,35 +28,55 @@ jobs:
2828
fail-fast: false
2929
matrix:
3030
os:
31+
### - {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-22.04', runtime: '', backend: 'mcode' } # GHDL doesn't provide assets for Ubuntu-22.04
32+
### - {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-22.04', runtime: '', backend: 'llvm' } # GHDL doesn't provide assets for Ubuntu-22.04
33+
### - {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-22.04', runtime: '', backend: 'llvm-jit'} # GHDL doesn't provide assets for Ubuntu-22.04
34+
### - {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-22.04', runtime: '', backend: 'gcc' } # GHDL doesn't provide assets for Ubuntu-22.04
3135
- {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-24.04', runtime: '', backend: 'mcode' }
3236
- {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-24.04', runtime: '', backend: 'llvm' }
3337
- {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-24.04', runtime: '', backend: 'llvm-jit'}
3438
- {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-24.04', runtime: '', backend: 'gcc' }
3539
- {icon: '🍎', name: 'macOS', image: 'macos-13', runtime: '', backend: 'mcode' }
3640
- {icon: '🍎', name: 'macOS', image: 'macos-13', runtime: '', backend: 'llvm' }
41+
### - {icon: '🍏', name: 'macOS', image: 'macos-14', runtime: '', backend: 'mcode' } # mcode not supported on aarch64
3742
- {icon: '🍏', name: 'macOS', image: 'macos-14', runtime: '', backend: 'llvm' }
38-
- {icon: '🪟', name: 'Windows', image: 'windows-2022', runtime: '', backend: 'mcode' }
39-
- {icon: '🪟🟦', name: 'Windows', image: 'windows-2022', runtime: 'mingw64', backend: 'mcode' }
40-
- {icon: '🪟🟦', name: 'Windows', image: 'windows-2022', runtime: 'mingw64', backend: 'llvm' }
41-
- {icon: '🪟🟦', name: 'Windows', image: 'windows-2022', runtime: 'mingw64', backend: 'llvm-jit'}
42-
- {icon: '🪟🟨', name: 'Windows', image: 'windows-2022', runtime: 'ucrt64', backend: 'mcode' }
43-
- {icon: '🪟🟨', name: 'Windows', image: 'windows-2022', runtime: 'ucrt64', backend: 'llvm' }
44-
- {icon: '🪟🟨', name: 'Windows', image: 'windows-2022', runtime: 'ucrt64', backend: 'llvm-jit'}
43+
### - {icon: '🍏', name: 'macOS', image: 'macos-15', runtime: '', backend: 'mcode' } # mcode not supported on aarch64
44+
- {icon: '🍏', name: 'macOS', image: 'macos-15', runtime: '', backend: 'llvm' }
45+
- {icon: '🪟', name: 'Windows', image: 'windows-2025', runtime: '', backend: 'mcode' }
46+
- {icon: '🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'mcode' }
47+
- {icon: '🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'llvm' }
48+
- {icon: '🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'llvm-jit'}
49+
- {icon: '🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'mcode' }
50+
- {icon: '🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'llvm' }
51+
- {icon: '🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'llvm-jit'}
4552
version:
4653
- {install: '5.0.1', expected: '5.0.1'}
47-
- {install: 'latest', expected: '5.0.1'}
54+
- {install: '5.1.1', expected: '5.1.1'}
55+
- {install: 'latest', expected: '5.1.1'}
4856
- {install: 'nightly', expected: '6.0.0-dev'}
4957
option:
5058
- {can-fail: false}
59+
exclude:
60+
- {os: {runtime: "mingw64", backend: "llvm"}, version: {expected: "5.0.1"}} # excluded due to LLVM incompatibility (v5.0.1 used LLVM-19, MSYS2 uses LLVM-20+) -> purged from release
61+
- {os: {runtime: "mingw64", backend: "llvm-jit"}, version: {expected: "5.0.1"}} # excluded due to LLVM incompatibility (v5.0.1 used LLVM-19, MSYS2 uses LLVM-20+) -> purged from release
62+
- {os: {runtime: "ucrt64", backend: "llvm"}, version: {expected: "5.0.1"}} # excluded due to LLVM incompatibility (v5.0.1 used LLVM-19, MSYS2 uses LLVM-20+) -> purged from release
63+
- {os: {runtime: "ucrt64", backend: "llvm-jit"}, version: {expected: "5.0.1"}} # excluded due to LLVM incompatibility (v5.0.1 used LLVM-19, MSYS2 uses LLVM-20+) -> purged from release
5164
include:
52-
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟦', name: 'Windows', image: 'windows-2022', runtime: 'mingw64', backend: 'mcode'}, option: {can-fail: false}}
53-
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟦', name: 'Windows', image: 'windows-2022', runtime: 'mingw64', backend: 'llvm'}, option: {can-fail: false}}
54-
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟨', name: 'Windows', image: 'windows-2022', runtime: 'ucrt64', backend: 'mcode'}, option: {can-fail: false}}
55-
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟨', name: 'Windows', image: 'windows-2022', runtime: 'ucrt64', backend: 'llvm'}, option: {can-fail: false}}
56-
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-20.04', runtime: '', backend: 'mcode'}, option: {can-fail: true }}
57-
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '🐧', name: 'Ubuntu', image: 'ubuntu-24.04', runtime: '', backend: 'xcode'}, option: {can-fail: true }}
58-
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '🍎', name: 'macOS', image: 'macos-13', runtime: '', backend: 'gcc' }, option: {can-fail: true }}
59-
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '🪟⬛', name: 'Windows', image: 'windows-2022', runtime: 'mingw32', backend: 'mcode'}, option: {can-fail: true }}
65+
# old version with retrofitted inventory.json
66+
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'mcode'}, option: {can-fail: false}}
67+
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'llvm'}, option: {can-fail: false}}
68+
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'mcode'}, option: {can-fail: false}}
69+
- {version: {install: '4.1.0', expected: '4.1.0'}, os: {icon: '🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'llvm'}, option: {can-fail: false}}
70+
# because of LLVM incompatibility
71+
- {version: {install: '5.0.1', expected: '5.0.1'}, os: {icon: '❌🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'llvm'}, option: {can-fail: true }}
72+
- {version: {install: '5.0.1', expected: '5.0.1'}, os: {icon: '❌🪟🟦', name: 'Windows', image: 'windows-2025', runtime: 'mingw64', backend: 'llvm-jit'}, option: {can-fail: true }}
73+
- {version: {install: '5.0.1', expected: '5.0.1'}, os: {icon: '❌🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'llvm'}, option: {can-fail: true }}
74+
- {version: {install: '5.0.1', expected: '5.0.1'}, os: {icon: '❌🪟🟨', name: 'Windows', image: 'windows-2025', runtime: 'ucrt64', backend: 'llvm-jit'}, option: {can-fail: true }}
75+
# error message testing (outdated os version, wrong backend, unsupported backend, unsupported runtime, ...)
76+
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '❌🐧', name: 'Ubuntu', image: 'ubuntu-22.04', runtime: '', backend: 'mcode'}, option: {can-fail: true }}
77+
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '❌🐧', name: 'Ubuntu', image: 'ubuntu-24.04', runtime: '', backend: 'xcode'}, option: {can-fail: true }}
78+
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '❌🍎', name: 'macOS', image: 'macos-13', runtime: '', backend: 'gcc' }, option: {can-fail: true }}
79+
- {version: {install: 'nightly', expected: '5.0.0'}, os: {icon: '❌🪟⬛', name: 'Windows', image: 'windows-2025', runtime: 'mingw32', backend: 'mcode'}, option: {can-fail: true }}
6080

6181
continue-on-error: ${{ matrix.option.can-fail }}
6282

@@ -65,15 +85,18 @@ jobs:
6585
shell: bash
6686

6787
steps:
68-
- name: Detect correct shell
69-
id: detect
70-
run: |
71-
# Detect correct shell
72-
if [[ "${{ matrix.os.name }}" == "Windows" && "${{ matrix.os.runtime }}" != "" ]]; then
73-
printf "shell=msys2 {0}" >> $GITHUB_OUTPUT
74-
else
75-
printf "shell=bash" >> $GITHUB_OUTPUT
76-
fi
88+
# - name: Detect correct shell
89+
# id: detect
90+
# run: |
91+
# # Detect correct shell
92+
# if [[ "${{ matrix.os.name }}" == "Windows" && "${{ matrix.os.runtime }}" != "" ]]; then
93+
# printf "shell=msys2 {0}" >> $GITHUB_OUTPUT
94+
# else
95+
# printf "shell=bash" >> $GITHUB_OUTPUT
96+
# fi
97+
98+
- name: ⏬ Checkout repository
99+
uses: actions/checkout@v5
77100

78101
- name: 🟦 Setup MSYS2 for ${{ matrix.os.runtime }}
79102
uses: msys2/setup-msys2@v2
@@ -82,18 +105,17 @@ jobs:
82105
msystem: ${{ matrix.os.runtime }}
83106
update: true
84107

85-
- name: Setup GHDL ${{ matrix.os.backend }}
108+
- name: Setup GHDL (${{ matrix.os.backend }}) ${{ matrix.version.install }} on ${{ matrix.os.runtime }}
86109
uses: ghdl/setup-ghdl@main
87110
with:
88111
version: ${{ matrix.version.install }}
89112
backend: ${{ matrix.os.backend }}
90113
runtime: ${{ matrix.os.runtime }}
91114
investigate: true
92115

93-
- name: Verify on Linux, macOS and Windows (native)
116+
- name: Verify GHDL version on Linux, macOS and Windows (native)
94117
if: matrix.os.name == 'Ubuntu' || matrix.os.name == 'macOS' || ( matrix.os.name == 'Windows' && matrix.os.runtime == '' )
95118
run: |
96-
97119
ANSI_LIGHT_RED=$'\x1b[91m'
98120
ANSI_LIGHT_GREEN=$'\x1b[92m'
99121
ANSI_NOCOLOR=$'\x1b[0m'
@@ -111,7 +133,7 @@ jobs:
111133
ghdl --version
112134
fi
113135
114-
- name: Verify on Windows + MSYS2
136+
- name: Verify GHDL version on Windows + MSYS2
115137
if: matrix.os.name == 'Windows' && matrix.os.runtime != ''
116138
shell: "msys2 {0}"
117139
run: |
@@ -132,9 +154,32 @@ jobs:
132154
ghdl --version
133155
fi
134156
135-
- name: Verify on Windows (native) with Powershell
157+
- name: Verify GHDL version on Windows (native) with Powershell
136158
if: matrix.os.name == 'Windows' && matrix.os.runtime == ''
137159
shell: powershell
138160
run: |
139161
echo $(Get-Command ghdl).Source
140162
ghdl --version
163+
164+
- name: Check HelloWorld example on Linux, macOS and Windows (native)
165+
if: matrix.os.name == 'Ubuntu' || matrix.os.name == 'macOS' || ( matrix.os.name == 'Windows' && matrix.os.runtime == '' )
166+
run: |
167+
ghdl -a --std=08 example/HelloWorld.vhdl
168+
ghdl -e --std=08 HelloWorld
169+
ghdl -r --std=08 HelloWorld
170+
171+
- name: Check HelloWorld example on Windows + MSYS2
172+
if: matrix.os.name == 'Windows' && matrix.os.runtime != ''
173+
shell: "msys2 {0}"
174+
run: |
175+
ghdl -a --std=08 example/HelloWorld.vhdl
176+
ghdl -e --std=08 HelloWorld
177+
ghdl -r --std=08 HelloWorld
178+
179+
- name: Check HelloWorld example on Windows (native) with Powershell
180+
if: matrix.os.name == 'Windows' && matrix.os.runtime == ''
181+
shell: powershell
182+
run: |
183+
ghdl -a --std=08 example/HelloWorld.vhdl
184+
ghdl -e --std=08 HelloWorld
185+
ghdl -r --std=08 HelloWorld

README.md

Lines changed: 5 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -11,9 +11,10 @@ This composite action, installs GHDL in a GitHub Action's workflow job.
1111
## Features
1212

1313
* Select GHDL version:
14-
* tagged release like `5.0.1`, or
15-
* latest release, currently `5.0.1`, or
14+
* tagged release like `5.0.1`, `5.1.1`, or
15+
* latest release, currently `5.1.1`, or
1616
* `nightly` release (rolling release).
17+
* `latest` release (retrieved from latest *nightly release*'s `inventory.json`).
1718
* Select GHDL backend:
1819
* mcode
1920
* LLVM
@@ -24,7 +25,7 @@ This composite action, installs GHDL in a GitHub Action's workflow job.
2425
* Ubuntu 24.04 (LTS),
2526
* macOS-13 (x86-64),
2627
* macOS-14 (aarch64)
27-
* Windows Server 2022.
28+
* Windows Server 2025.
2829

2930
## Usage
3031

@@ -80,7 +81,7 @@ jobs:
8081
8182
| Parameter | Required | Default | Description |
8283
|---------------------|:--------:|-------------|---------------------------------------------------------------------------------------------------------------------------------------------|
83-
| `version` | no | `'nightly'` | A tagged GHDL version starting at `v5.0.0` or `nightly`. |
84+
| `version` | no | `'nightly'` | A tagged GHDL version starting at `v5.1.1`, `latest` or `nightly`. |
8485
| `backend` | no | `'mcode'` | GHDL backend: `llvm`, `llvm-jit`, `mcode`, `gcc`. |
8586
| `runtime` | no | `''` | If runner OS is Windows, a MSYS2 runtime can be selected (`mingw64`, `ucrt64`). If not set, Windows native is used (not MSYS2 environment). |
8687
| `install-directory` | no | `'install'` | Local installation directory, in case an archive asset is downloaded and extracted. |

action.yml

Lines changed: 50 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -142,18 +142,45 @@ runs:
142142
fi
143143
144144
osName="Windows"
145-
osMajorVersion="2022"
145+
osMajorVersion="2025"
146146
osArchitecture="x86-64"
147147
else
148148
printf "::error title=%s::%s\n" "setup-ghdl" "Unsupported runner OS '${{ runner.os }}'."
149149
exit 1
150150
fi
151151
152152
# A generic test for supported named versions or tagged versions.
153-
if [[ "${{ inputs.version }}" == "latest" ]]; then
154-
# TODO: could be read from nightly's inventory.json
155-
VERSION_IN_URL="v5.0.1"
156-
elif [[ "${{ inputs.version }}" =~ ^v[0-9]{1,2}\.[0-9]{1,2}\.[0-9]{1,2}(-rc[0-9]+)?|nightly$ ]]; then
153+
if [[ "${{ inputs.version }}" == "nightly" ]]; then
154+
VERSION_IN_URL="nightly"
155+
elif [[ "${{ inputs.version }}" == "latest" ]]; then
156+
DOWNLOAD_URL="https://github.com/ghdl/ghdl/releases/download/nightly/inventory.json"
157+
printf "::group::${ANSI_LIGHT_BLUE}%s${ANSI_NOCOLOR}\n" "Downloading nightly inventory file from '${DOWNLOAD_URL}' ..."
158+
curl -L --fail "${DOWNLOAD_URL}" -o nightly.json
159+
retCode=$?
160+
printf "::endgroup::\n Downloading nightly inventory "
161+
if [[ $retCode -eq 0 ]]; then
162+
printf "${ANSI_LIGHT_GREEN}%s${ANSI_NOCOLOR}\n" "[OK]"
163+
else
164+
printf "${ANSI_LIGHT_RED}%s\${ANSI_NOCOLOR}n" "[FAILED]"
165+
printf "::error title=%s::%s\n" "setup-ghdl" "Failed to download 'inventory.json' as 'nightly.json'."
166+
exit 1
167+
fi
168+
169+
printf "%s" "Check if 'latest release' is supported (JSON structure version 1.1) ... "
170+
if jq -e ".version | tonumber | . < 1.1" nightly.json > /dev/null; then
171+
printf "${ANSI_LIGHT_RED}%s${ANSI_NOCOLOR}\n" "[OUTDATED]"
172+
printf "::error title=%s::%s\n" "setup-ghdl" "Unsupported feature 'install latest version' due to outdated 'inventory.json' format."
173+
exit 1
174+
elif jq -e ".meta | has(\"latest\")" nightly.json > /dev/null; then
175+
printf "${ANSI_LIGHT_GREEN}%s${ANSI_NOCOLOR}\n" "[OK]"
176+
else
177+
printf "${ANSI_LIGHT_RED}%s${ANSI_NOCOLOR}\n" "[UNSUPPORTED]"
178+
printf "::error title=%s::%s\n" "setup-ghdl" "Unsupported feature 'install latest version' due to missing information in 'inventory.json' downloaded from latest 'nightly' release."
179+
exit 1
180+
fi
181+
182+
VERSION_IN_URL="$(jq -r '.meta.latest.version' nightly.json)"
183+
elif [[ "${{ inputs.version }}" =~ ^v[0-9]{1,2}\.[0-9]{1,2}\.[0-9]{1,2}(-rc[0-9]+)?$ ]]; then
157184
VERSION_IN_URL="${{ inputs.version }}"
158185
elif [[ "${{ inputs.version }}" =~ ^[0-9]{1,2}\.[0-9]{1,2}\.[0-9]{1,2}(-rc[0-9]+)?$ ]]; then
159186
VERSION_IN_URL="v${{ inputs.version }}"
@@ -185,6 +212,15 @@ runs:
185212
exit 1
186213
fi
187214
215+
printf "%s" "Check JSON structure version ... "
216+
if jq -e ".version | tonumber | . >= 1.0 and . < 2.0" inventory.json > /dev/null; then
217+
printf "${ANSI_LIGHT_GREEN}%s${ANSI_NOCOLOR}\n" "[OK]"
218+
else
219+
printf "${ANSI_LIGHT_RED}%s${ANSI_NOCOLOR}\n" "[MISMATCH]"
220+
printf "::error title=%s::%s\n" "setup-ghdl" "Unsupported version '$(jq ".version" inventory.json)' of 'inventory.json' format."
221+
exit 1
222+
fi
223+
188224
printf "%s" "Check if runner OS '${osName}' is supported ... "
189225
if jq -e ".files.ghdl | has(\"${osName,,}\")" inventory.json > /dev/null; then
190226
printf "${ANSI_LIGHT_GREEN}%s${ANSI_NOCOLOR}\n" "[OK]"
@@ -225,7 +261,15 @@ runs:
225261
226262
printf "%s" "Check if GHDL backend '${{ inputs.backend }}' is supported for this runner OS version ... "
227263
if jq -e ".files.ghdl.\"${osName,,}\".\"${osMajorVersion}\".\"${osArchitecture}\".\"${osRuntime}\" | has(\"${{ inputs.backend }}\")" inventory.json > /dev/null; then
228-
printf "${ANSI_LIGHT_GREEN}%s${ANSI_NOCOLOR}\n" "[OK]"
264+
if jq -e ".files.ghdl.\"${osName,,}\".\"${osMajorVersion}\".\"${osArchitecture}\".\"${osRuntime}\".\"${{ inputs.backend }}\" | has(\"error\")" inventory.json > /dev/null; then
265+
errorMessage="$(jq -r ".files.ghdl.\"${osName,,}\".\"${osMajorVersion}\".\"${osArchitecture}\".\"${osRuntime}\".\"${{ inputs.backend }}\".error" inventory.json)"
266+
printf "${ANSI_LIGHT_RED}%s${ANSI_NOCOLOR}\n" "[SUPPORT DROPPED]"
267+
printf "${ANSI_LIGHT_RED} %s${ANSI_NOCOLOR}\n" "${errorMessage}"
268+
printf "::error title=%s::%s\n" "setup-ghdl" "Support for GHDL backend '${{ inputs.backend }}' for ${osName} ${osMajorVersion} was dropped. Reason: ${errorMessage}"
269+
exit 1
270+
else
271+
printf "${ANSI_LIGHT_GREEN}%s${ANSI_NOCOLOR}\n" "[OK]"
272+
fi
229273
else
230274
printf "${ANSI_LIGHT_RED}%s${ANSI_NOCOLOR}\n" "[UNSUPPORTED]"
231275
printf "::error title=%s::%s\n" "setup-ghdl" "Unsupported GHDL backend '${{ inputs.backend }}' for ${osName} ${osMajorVersion}."

example/HelloWorld.vhdl

Lines changed: 12 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,12 @@
1+
entity HelloWorld is
2+
end entity;
3+
4+
architecture test of HelloWorld is
5+
begin
6+
process
7+
begin
8+
wait for 10 ns;
9+
report "Hello World" severity note;
10+
std.env.stop;
11+
end process;
12+
end architecture;

0 commit comments

Comments
 (0)